| Peer-Reviewed

Survey of Low Power Testing of VLSI Circuits

Received: 13 April 2013    Accepted:     Published: 20 May 2013
Views:       Downloads:
Abstract

The System-On-Chip (SoC) revolution challenges both design and test engineers, especially in the area of power dissipation. Generally, a circuit or system consumes more power in test mode than in normal mode. This extra power consumption can give rise to severe hazards in circuit reliability or, in some cases, can provoke instant circuit damage. Moreover, it can create problems such as increased product cost, difficulty in performance verification, reduced autonomy of portable systems, and decrease of overall yield. This paper surveys about the available low power testing techniques during testing. It also suggests some advantages and disadvantages associated with every techniques

Published in Science Journal of Circuits, Systems and Signal Processing (Volume 2, Issue 2)
DOI 10.11648/j.cssp.20130202.15
Page(s) 67-74
Creative Commons

This is an Open Access article, distributed under the terms of the Creative Commons Attribution 4.0 International License (http://creativecommons.org/licenses/by/4.0/), which permits unrestricted use, distribution and reproduction in any medium or format, provided the original work is properly cited.

Copyright

Copyright © The Author(s), 2024. Published by Science Publishing Group

Keywords

Dft, Bist, Lfsr, Cut, Atpg

References
[1] Y. Zorian, "A Distributed BIST Control Scheme for Complex VLSI Devices," Proc. 11th IEEE VLSI Test Symp. (VTS 93), IEEE CS Press, Los Alamitos,Calif., 1993, pp. 4-9.
[2] M.L. Bushnell and V.D. Agrawal, Essentials of Electronic Testing, Kluwer Academic, Boston, 2000, p. 14.
[3] S. Wang and S.K. Gupta, "DS-LFSR: A New BISTTPG for Low Heat Dissipation," Proc. Int’l Test Conf. (ITC 97), IEEE Press, Piscataway, N.J.,1997, pp. 848-857.
[4] B. Pouya and A. Crouch, "Optimization Trade-offs for Vector Volume and Test Power," Proc. Int’l Test Conf. (ITC 00), IEEE Press, Piscataway, N.J.,2000, pp. 873-881.
[5] X. Zhang, K. Roy, and S. Bhawmik, "POWERTEST: A tool for energy conscious weighted random pattern testing ", Proceedings of International Conference on VLSI Design, pp. 416-422, January 1999.
[6] S. Wang and S. Gupta, "LT-RTPG: A new test-per-scan switching activity", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 25(8), pp. 1565-1574, August 2006
[7] S. Chakravarty and V. Dabholkar, "Two techniques for mi-nimizing power dissipation in scan circuits during test ap-plication", Proceedings of Asian Test Symposium, pp. 324-329, November 1994.
[8] V. Dabholkar, S. Chakravarty, I. minimizing power dissipa-tion in scan and combinational circuits during test applica-tions", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 17(12), pp. 1325-1333, December 1998.
[9] S. Ghosh, S. Basu, and N. Touba, "Jointminimization of power and area in scan testing by scan cell reordering", IEEE Computer Society Annual Symposium on VLSI, pp. 246-249, February 2003.
[10] N. Badereddine, P. Girard, S. Pravossoudovitch, A. Virazel, and C. Landra"Scan cell reordering for peak power reduction during test cycles", IFIP International Federation for Information Processing, Springer Boston, pp. 267-281, Oc-tober 2007.
[11] T. Hiraide, K. Boateng, H. Konishi, K. Itaya, M. Emori, H. Yamanaka, and TMochiyama, "BIST-aided scan test: A new method for test cost reduction",VLSI Test Symposium, pp. 359-364, May 2003.
[12] S. Wang and W. Wei, "A technique to reduce peak current and average power dissipation in scan designs by limited capture", Asia and South Pacific DeAutomation Conference, pp. 810-816, January 2007.
[13] S. Manich, A. Gabarro, M. Lopez, J. Figueras, P. Girard, L. Guiller, C. Landrault, S. Pravossoudovitch, P. Teixeira, and M. Santos, "Low power BIST by filtering non-detecting vectors", Journal of Electronic Testing: Theory and Applica-tion (JETTA), 16(3), pp. 193-202, June 2000
[14] N.-C. Lai and S.-Y. Wang, "Low-capture-power test genera-tion by specifying a minimum set of controlling inputs", Asian Test Symposium, pp. 413-418, October 2007.
[15] P. Girard, L. Guiller, C. Landrault, S. Pravossoudovitch, J. Figueras, S. Manich, P. Teixeira, and M. Santos, "Low energy BIST design: Impact of the LFSR TPG parameters on the weighted switching activity", Proceedings of International Symposium on Circuits and Systems, pp. 110-113, June 1999.
[16] M. Brazzarola and F. Fummi, "Power characterization of LFSRs", International Survey on Defect and Fault Tolerance in VLSI Systems, pp139-147, November 1999.
[17] P.-H. Wu, T.-T. Chen, W.-L. Li, and J.-C. Rau, "An efficient test-data compaction for low power VLSI testing", IEEE In-ternational Conference on Electro/Information Technology, pp. 237-241, May 2008.
[18] L. Whetsel, "Adapting scan architectures for low power operation",Proceedings of International Test Conference, pp. 863-872, October 2000.
[19] P. Rosinger, B.M. Al-Hashimi, and N. Nicolici, "Scan archi-tecture with mutually exclusive scan segment activation for shift and capture power reduction", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 23(7), pp. 1142-1153, July 2004.
[20] K.Y. Cho, S. Mitra, and E. McCluskey, "California scan architecture for high quality and low power testing", IEEE International Test Conference, pp. 1-10, October 2007.
[21] R. Sankaralingam and N. Touba, "Multi-phase shifting to reducing instantaneous peak power during scan", Proceed-ings of Latin American Workshop, pp. 78-83, February 2003.
[22] L.-T. Wang, C.-W. Wu, and X. Wen, VLSI Test Principles and Architectures: Design for Testability, San Francisco, Morgan Kaufmann, 2006.
[23] Chandra and K. Chakrabarty, "Combining low-power scan testing and test data compression for system on-a-chip", Proceedings of Design Automation Conference, pp. 166-169, June 2001.
[24] Chandra and K. Chakrabarty, "Reduction of SOC test data volume, scan power and testing time using alternating run-length codes", Proceedings of Design Automation Con-ference, pp. 673-678, June 2002.
[25] P. Rosinger, B.M. Al-Hashimi, and N. Nicolici, "Low power mixed-mode BIST based on mask pattern generation using dual LFSR-reseeding", Proceedings of International Confe-rence on Computer Design, pp. 474-479, 2002.
[26] J. Lee and N. Touba, "Low power test data compression based on LFSR reseeding", Proceedings of International Conference on Computer Design, pp. 180-185, October 2004.
[27] "Adaptive Low Shift Power Test Pattern Generator for Logic BIST" ,Xijiang Lin Janusz Rajski , 2010 19th IEEE Asian Test Symposium.
Cite This Article
  • APA Style

    P. Basker, A. Arulmurugan. (2013). Survey of Low Power Testing of VLSI Circuits. Science Journal of Circuits, Systems and Signal Processing, 2(2), 67-74. https://doi.org/10.11648/j.cssp.20130202.15

    Copy | Download

    ACS Style

    P. Basker; A. Arulmurugan. Survey of Low Power Testing of VLSI Circuits. Sci. J. Circuits Syst. Signal Process. 2013, 2(2), 67-74. doi: 10.11648/j.cssp.20130202.15

    Copy | Download

    AMA Style

    P. Basker, A. Arulmurugan. Survey of Low Power Testing of VLSI Circuits. Sci J Circuits Syst Signal Process. 2013;2(2):67-74. doi: 10.11648/j.cssp.20130202.15

    Copy | Download

  • @article{10.11648/j.cssp.20130202.15,
      author = {P. Basker and A. Arulmurugan},
      title = {Survey of Low Power Testing of VLSI Circuits},
      journal = {Science Journal of Circuits, Systems and Signal Processing},
      volume = {2},
      number = {2},
      pages = {67-74},
      doi = {10.11648/j.cssp.20130202.15},
      url = {https://doi.org/10.11648/j.cssp.20130202.15},
      eprint = {https://article.sciencepublishinggroup.com/pdf/10.11648.j.cssp.20130202.15},
      abstract = {The System-On-Chip (SoC) revolution challenges both design and test engineers, especially in the area of power dissipation. Generally, a circuit or system consumes more power in test mode than in normal mode. This extra power consumption can give rise to severe hazards in circuit reliability or, in some cases, can provoke instant circuit damage. Moreover, it can create problems such as increased product cost, difficulty in performance verification, reduced autonomy of portable systems, and decrease of overall yield. This paper surveys about the available low power testing techniques during testing. It also suggests some advantages and disadvantages associated with every techniques},
     year = {2013}
    }
    

    Copy | Download

  • TY  - JOUR
    T1  - Survey of Low Power Testing of VLSI Circuits
    AU  - P. Basker
    AU  - A. Arulmurugan
    Y1  - 2013/05/20
    PY  - 2013
    N1  - https://doi.org/10.11648/j.cssp.20130202.15
    DO  - 10.11648/j.cssp.20130202.15
    T2  - Science Journal of Circuits, Systems and Signal Processing
    JF  - Science Journal of Circuits, Systems and Signal Processing
    JO  - Science Journal of Circuits, Systems and Signal Processing
    SP  - 67
    EP  - 74
    PB  - Science Publishing Group
    SN  - 2326-9073
    UR  - https://doi.org/10.11648/j.cssp.20130202.15
    AB  - The System-On-Chip (SoC) revolution challenges both design and test engineers, especially in the area of power dissipation. Generally, a circuit or system consumes more power in test mode than in normal mode. This extra power consumption can give rise to severe hazards in circuit reliability or, in some cases, can provoke instant circuit damage. Moreover, it can create problems such as increased product cost, difficulty in performance verification, reduced autonomy of portable systems, and decrease of overall yield. This paper surveys about the available low power testing techniques during testing. It also suggests some advantages and disadvantages associated with every techniques
    VL  - 2
    IS  - 2
    ER  - 

    Copy | Download

Author Information
  • Department Of Ece, Kongu Engineering College, Perundurai, Tamil Nadu, India

  • Department Of Ece, Kongu Engineering College, Perundurai, Tamil Nadu, India

  • Sections